مدارهای شمارنده پالس را خودتان انجام دهید. اجرای کنتور برق الکترونیکی بر روی میکروکنترلر سری MSP430FE42x. توسعه یک نمودار شماتیک

همه می دانند که چرا یک ریز محاسبه گر وجود دارد، اما معلوم می شود که علاوه بر محاسبات ریاضی، توانایی بسیار بیشتری دارد. لطفاً توجه داشته باشید که اگر دکمه "1"، سپس "+" و سپس "=" را فشار دهید، سپس با هر بار فشار دادن دکمه "=" تعداد روی نمایشگر یک عدد افزایش می یابد. چرا شمارنده دیجیتال نیست؟

اگر دو سیم به دکمه "=" لحیم شده باشند، می توان از آنها به عنوان ورودی شمارنده استفاده کرد، به عنوان مثال، شمارنده چرخش برای یک ماشین سیم پیچ. و پس از همه، شمارنده نیز می تواند برگشت پذیر باشد؛ برای انجام این کار، ابتدا باید یک عدد را روی صفحه نمایش بگیرید، به عنوان مثال، تعداد چرخش سیم پیچ، و سپس دکمه "-" و دکمه "1" را فشار دهید. . اکنون، هر بار که "=" را فشار دهید، عدد یک کاهش می یابد.

با این حال، یک سنسور مورد نیاز است. ساده ترین گزینه سوئیچ نی است (شکل 1). سوئیچ نی را با سیم های موازی با دکمه "=" وصل می کنیم ، خود سوئیچ نی روی قسمت ثابت دستگاه سیم پیچ می ایستد و آهنربا را روی آن متحرک ثابت می کنیم تا در طول یک دور سیم پیچ آهنربا عبور کند. یک بار نزدیک سوئیچ نی که باعث بسته شدن آن می شود.

همین. شما باید سیم پیچ را بچرخانید، "1+" را انجام دهید و سپس با هر چرخش، یعنی با هر چرخش، خوانش نمایشگر یک عدد افزایش می یابد. شما باید سیم پیچ را باز کنید - تعداد چرخش سیم پیچ را در صفحه نمایش میکرومحاسب وارد کنید و "-1" را ایجاد کنید، سپس با هر دور باز کردن سیم پیچ، قرائت های نمایشگر یک کاهش می یابد.

عکس. 1. نمودار اتصال سوئیچ نی به ماشین حساب.

و فرض کنید باید مسافت زیادی را اندازه گیری کنید، مثلاً طول یک جاده، اندازه یک قطعه زمین، طول یک مسیر. ما یک دوچرخه معمولی سوار می شویم. درست است - یک براکت غیر فلزی را با یک سوئیچ نی به چنگال وصل می کنیم و آهنربا را به یکی از پره های چرخ دوچرخه وصل می کنیم. سپس دور چرخ را اندازه می‌گیریم و آن را بر حسب متر بیان می‌کنیم، به عنوان مثال، دور چرخ 1.45 متر است، بنابراین "1.45+" را شماره‌گیری می‌کنیم، پس از آن با هر چرخش چرخ، قرائت‌های نمایشگر افزایش می‌یابد. 1.45 متر است و در نتیجه نمایشگر مسافت طی شده توسط دوچرخه را بر حسب متر نشان می دهد.

اگر یک ساعت زنگ دار کوارتز چینی معیوب دارید (معمولاً مکانیسم آنها خیلی بادوام نیست، اما برد الکترونیکی آن بسیار قابل اعتماد است)، می توانید یک برد از آن بردارید و طبق مدار نشان داده شده در شکل 2، یک کرونومتر از آن بسازید. آن و یک ماشین حساب

برق از طریق یک تثبیت کننده پارامتری روی LED HL1 (ال ای دی باید ولتاژ مستقیم 1.4-1.7 ولت داشته باشد، به عنوان مثال، AL307 قرمز) و مقاومت R2 به صفحه ساعت زنگ دار تامین می شود.

پالس ها از پالس های کنترلی موتور پله ای مکانیسم ساعت تولید می شوند (کویل ها باید جدا شوند، تخته به طور مستقل استفاده می شود). این پالس ها از طریق دیودهای VD1 و VD2 به پایه ترانزیستور VT1 می روند. ولتاژ تغذیه برد هشدار تنها 1.6 ولت است، در حالی که سطوح پالس در خروجی موتور پله ای حتی پایین تر است.

برای اینکه مدار به درستی کار کند، دیودهایی با سطح ولتاژ پیشروی پایین مانند VAT85 یا ژرمانیوم مورد نیاز است.

این پالس ها به سوئیچ ترانزیستور در VT1 و VT2 می رسند. مدار جمع کننده VT2 شامل سیم پیچ یک رله کم مصرف K1 است که مخاطبین آن به موازات دکمه "=" میکرومحاسبه متصل هستند. هنگامی که برق +5 ولت وجود دارد، کنتاکت های رله K1 در فرکانس 1 هرتز بسته می شوند.

برای راه اندازی کرونومتر، ابتدا باید عمل «1+» را انجام دهید، سپس با استفاده از کلید S1، مدار شکل دهنده پالس را روشن کنید. اکنون با هر ثانیه خوانش نمایشگر یک عدد افزایش می یابد.

برای توقف شمارش، کافی است با استفاده از کلید S1، برق شکل دهنده پالس را خاموش کنید.

برای داشتن یک شمارش برای کاهش، ابتدا باید تعداد ثانیه های اولیه را روی نمایشگر ریزمحاسبه وارد کنید و سپس عمل "-1" را انجام دهید و با سوئیچ S1، شکل دهنده پالس را روشن کنید. اکنون با هر ثانیه، قرائت های نمایشگر یک عدد کاهش می یابد و از روی آنها می توان قضاوت کرد که چقدر زمان تا یک رویداد خاص باقی مانده است.

شکل 2. طرح تبدیل آویز چینی به کرونومتر.

شکل 3. نمودار مدار شمارنده تقاطع پرتو IR با استفاده از ماشین حساب.

اگر از یک سنسور عکس مادون قرمز استفاده می‌کنید که در تقاطع پرتو کار می‌کند، می‌توانید ریزمحاسبه را برای شمارش برخی از اشیاء، به عنوان مثال، جعبه‌هایی که در امتداد تسمه نقاله حرکت می‌کنند، تنظیم کنید، یا با نصب سنسور در راهرو، افراد ورودی به اتاق را بشمارید. .

یک نمودار شماتیک از یک سنسور بازتاب IR برای کار با یک ریزمحاسبه در شکل 3 نشان داده شده است.

مولد سیگنال IR بر روی یک تراشه A1 از نوع 555 (تایمر یکپارچه) ساخته شده است، یک مولد پالس با فرکانس 38 کیلوهرتز است که در خروجی آن یک LED مادون قرمز روشن می شود. فرکانس تولید به مدار C1-R1 بستگی دارد؛ هنگام راه اندازی با انتخاب مقاومت R1، باید فرکانس خروجی ریزمدار (پایه 3) را نزدیک به 38 کیلوهرتز تنظیم کنید. LED HL1 در یک طرف گذرگاه قرار می گیرد و یک لوله مات روی آن قرار می دهد که باید دقیقاً به سمت آشکارساز نوری باشد.

ردیاب نوری بر روی تراشه HF1 ساخته شده است - این یک آشکارساز نوری یکپارچه استاندارد از نوع TSOP4838 برای سیستم های کنترل از راه دور برای تلویزیون ها و سایر لوازم خانگی است. هنگامی که پرتو HL1 به این ردیاب نوری برخورد می کند، خروجی آن صفر می شود. در صورت عدم وجود پرتو - یک.

بنابراین، هیچ چیزی بین HL1 و HF1 وجود ندارد - کنتاکت های رله K1 باز هستند و در لحظه عبور هر جسم، کنتاکت های رله بسته می شوند. اگر اکشن "1+" را روی ریزماشین حساب انجام دهید، با هر عبور یک شی بین HL1 و HF1، خوانش های نمایشگر ریزمحاسبه یک عدد افزایش می یابد و از روی آنها می توانید قضاوت کنید که چند جعبه ارسال شده یا چند نفر وارد شده اند. .

کریوکوف M.B. RK-2016-01.

اصول کارکرد، اصول جراحی، اصول عملکرد

حالت اولیه، سطح صفر در تمام خروجی های ماشه (Q 1 – Q 3)، یعنی کد دیجیتال 000 است. در این حالت، مهم ترین رقم خروجی Q 3 است. برای انتقال همه فلیپ فلاپ ها به حالت صفر، ورودی های فلیپ فلاپ های R ترکیب شده و سطح ولتاژ مورد نیاز به آنها اعمال می شود (یعنی پالسی که فلیپ فلاپ ها را تنظیم مجدد می کند). این در اصل یک تنظیم مجدد است. ورودی C پالس های ساعت را دریافت می کند که کد دیجیتال را یک بار افزایش می دهد، یعنی پس از رسیدن اولین پالس، اولین ماشه به حالت 1 سوئیچ می کند (کد 001)، پس از رسیدن پالس دوم، ماشه دوم به حالت 1 سوئیچ می کند. و اولین مورد 0 (کد 010)، سپس سومی و غیره. در نتیجه، چنین دستگاهی می تواند تا 7 (کد 111) شمارش کند، زیرا 2 3 – 1 = 7. زمانی که تمام خروجی های ماشه ها هستند بر روی یک ها تنظیم می شود، آنها می گویند که شمارنده سرریز شده است. پس از رسیدن پالس بعدی (نهم)، شمارنده به صفر می رسد و همه چیز از ابتدا شروع می شود. در نمودارها، تغییرات در حالت های ماشه با تاخیر خاصی در ساعت رخ می دهد. در رقم سوم تاخیر در حال حاضر سه برابر شده است. تاخیری که با تعداد بیت ها افزایش می یابد، از معایب شمارنده های با انتقال سریال است که با وجود سادگی، استفاده از آنها را در دستگاه هایی با تعداد بیت کم محدود می کند.

طبقه بندی کنتورها

شمارنده ها دستگاه هایی برای شمارش تعداد پالس ها (دستورات) دریافتی در ورودی خود، ذخیره و ذخیره نتیجه شمارش و صدور این نتیجه هستند. پارامتر اصلی شمارنده ماژول شمارش (ظرفیت) Kс است. این مقدار برابر با تعداد حالت های پایدار شمارنده است. پس از رسیدن پالس های Kc، شمارنده به حالت اولیه خود باز می گردد. برای شمارنده های باینری Kс = 2 m، که m تعداد بیت های شمارنده است.

علاوه بر Kc، مشخصه های مهم کنتور حداکثر فرکانس شمارش fmax و ست زمان ته نشینی است که مشخص کننده سرعت کنتور است.

Tst مدت زمان فرآیند انتقال تغییر شمارنده به حالت جدید است: tset = mttr، که m تعداد ارقام است، و ttr زمان سوئیچینگ ماشه است.

Fmax حداکثر فرکانس پالس های ورودی است که در آن افت پالس رخ نمی دهد.

بر اساس نوع عملیات:

- جمع بندی

- کاهشی؛

- برگشت پذیر

در یک شمارنده جمع، رسیدن هر پالس ورودی، نتیجه شمارش را یک افزایش می دهد، در شمارنده تفریق، یک عدد کاهش می یابد. در شمارنده های معکوس، هم جمع و هم تفریق می توانند اتفاق بیفتند.

توسط سازمان ساختاری:

- استوار؛

- موازی؛

- سری-موازی.

در یک شمارنده سریال، پالس ورودی فقط به ورودی رقم اول عرضه می شود و پالس خروجی رقم قبل به ورودی های هر رقم بعدی عرضه می شود.

در یک شمارنده موازی، با رسیدن پالس شمارش بعدی، سوئیچینگ تریگرها هنگام انتقال به حالت جدید به طور همزمان اتفاق می افتد.

مدار سری موازی شامل هر دو گزینه قبلی است.

به ترتیب تغییرات حالت:

- با ترتیب طبیعی شمارش؛

- با دستور شمارش دلخواه

شمارش مدول:

- دودویی؛

- غیر باینری

مدول شمارش شمارنده باینری Kc=2 و مدول شمارش شمارنده غیر باینری Kc= 2m است که m تعداد بیت های شمارنده است.

مجموع شمارنده سریال

عکس. 1. مجموع شمارنده سریال 3 بیتی.

ماشه های این شمارنده توسط لبه سقوط پالس شمارش تحریک می شوند. ورودی رقم بالای شمارنده به خروجی مستقیم (Q) رقم مجاور پایین متصل می شود. نمودار زمان بندی عملکرد چنین شمارنده ای در شکل 2 نشان داده شده است. در لحظه اولیه زمان، حالات همه فلیپ فلاپ ها به ترتیب برابر با log.0 است، در خروجی های مستقیم آنها log.0 وجود دارد. این با استفاده از یک log.0 کوتاه مدت اعمال می شود که در ورودی های تنظیم ناهمزمان فلیپ فلاپ ها به log.0 اعمال می شود. حالت کلی شمارنده را می توان با یک عدد باینری (000) مشخص کرد. در طول شمارش، منطق 1 در ورودی های نصب ماشه ناهمزمان در log.1 حفظ می شود. پس از رسیدن به لبه انتهایی پالس اول، 0 بیت به حالت مخالف تغییر می کند - log.1. لبه جلوی پالس شمارش در ورودی 1 بیتی ظاهر می شود. وضعیت پیشخوان (001). پس از اینکه لبه سقوطی پالس دوم به ورودی شمارنده رسید، 0 بیت به حالت مخالف تغییر می کند - log.0، و لبه سقوط پالس شمارش در ورودی 1 بیت ظاهر می شود که سوئیچ می کند. 1 بیت برای ورود به سیستم.1. وضعیت کلی پیشخوان (010) می باشد. لبه سقوط بعدی در ورودی 0 بیتی آن را روی منطق 1 (011) و غیره تنظیم می کند. بنابراین شمارنده تعداد پالس های ورودی را که به ورودی خود می رسند جمع می کند. هنگامی که 8 پالس به ورودی آن می رسد، شمارنده به حالت اولیه خود (000) برمی گردد، یعنی ضریب شمارش (CFC) این شمارنده 8 است.

برنج. 2. نمودار زمان بندی شمارنده افزودن سریال.

شمارنده سریال تفریقی

ماشه های این شمارنده توسط لبه سقوط فعال می شوند. برای اجرای عملیات تفریق، ورودی شمارش رقم مرتبه بالا به خروجی معکوس رقم مرتبه پایین مجاور متصل می شود. محرک ها از ابتدا روی log.1 (111) تنظیم شده اند. عملکرد این شمارنده در نمودار زمان بندی در شکل نشان داده شده است. 4.

برنج. 1 شمارنده تفریق سریال

برنج. 2 نمودار زمان بندی یک شمارنده تفریق کننده سریال

شمارنده سریال برگشت پذیر

برای پیاده سازی یک شمارنده بالا/پایین، لازم است توابع یک شمارنده جمع و توابع یک شمارنده تفریق با هم ترکیب شوند. نمودار این شمارنده در شکل نشان داده شده است. 5. سیگنال های "جمع" و "تفاوت" برای کنترل حالت شمارش استفاده می شود. برای حالت جمع، "sum" = log.1، "0" log.0 کوتاه مدت است. "تفاوت" = log.0، "1" - log.0 کوتاه مدت. در این حالت، عناصر DD4.1 و DD4.3 اجازه می‌دهند تا سیگنال‌ها را از خروجی‌های مستقیم تریگرهای DD1.1، DD1.2 به ورودی‌های ساعت تریگرهای DD1.2، DD2.1 از طریق عناصر DD5.1 ​​ارسال کنند. و DD5.2 به ترتیب. در این حالت، عناصر DD4.2 و DD4.4 بسته هستند، در خروجی آنها یک log 0 وجود دارد، بنابراین عملکرد خروجی های معکوس به هیچ وجه بر ورودی های شمارش فلیپ فلاپ های DD1.2 تأثیر نمی گذارد. DD2.1. بنابراین عملیات جمع بندی اجرا می شود. برای اجرای عملیات تفریق، log.0 به ورودی "جمع" و log.1 به ورودی "تفاوت" ارائه می شود. در این حالت، عناصر DD4.2، DD4.4 اجازه می‌دهند سیگنال‌هایی از خروجی‌های معکوس تریگرهای DD1.1، DD1.2 به ورودی عناصر DD5.1، DD5.2 و بر این اساس، به شمارش داده شوند. ورودی تریگرهای DD1.2، DD2.1. در این حالت، عناصر DD4.1، DD4.3 بسته می شوند و سیگنال های خروجی مستقیم تریگرهای DD1.1، DD1.2 به هیچ وجه بر ورودی های شمارش تریگرهای DD1.2، DD2 تأثیر نمی گذارد. 1. بنابراین عملیات تفریق اجرا می شود.

برنج. 3 سریال بالا/پایین شمارنده 3 بیتی

برای پیاده سازی این شمارنده ها، می توانید از تریگرهایی نیز استفاده کنید که توسط لبه بالارونده پالس های شمارش تحریک می شوند. سپس هنگام جمع کردن، سیگنالی از خروجی معکوس بیت مرتبه پایین مجاور باید به ورودی شمارش بالاترین رقم داده شود و هنگام تفریق برعکس، ورودی شمارش باید به خروجی مستقیم متصل شود.

عیب شمارنده سریال این است که با افزایش عمق بیت، زمان نصب (tset) این شمارنده به نسبت افزایش می یابد. مزیت آن سهولت اجرا است.

برنج. 3 - شمارنده معکوس

دو ورودی برای شمارش پالس ها وجود دارد: "+1" - برای افزایش، "-1" - برای کاهش. ورودی مربوطه (+1 یا -1) به ورودی C متصل است. اگر آن را در جلوی اولین فلیپ فلاپ قرار دهید (خروجی عنصر به ورودی اولین تلنگر است) این کار را می توان با استفاده از یک مدار OR انجام داد. -فلاپ، ورودی ها به گذرگاه های +1 و -1 هستند. چیزهای عجیب و غریب بین محرک ها (DD2 و DD4) عنصر AND-OR نامیده می شود. این عنصر از دو عنصر AND و یک عنصر OR تشکیل شده است که در یک محفظه ترکیب شده اند. ابتدا سیگنال های ورودی این عنصر به صورت منطقی ضرب می شوند، سپس نتیجه به صورت منطقی اضافه می شود.

تعداد ورودی های عنصر AND-OR مطابق با تعداد رقم است، یعنی اگر رقم سوم باشد، سه ورودی، چهارم - چهار و غیره. مدار منطقی یک سوئیچ دو حالته است که توسط مستقیم یا معکوس کنترل می شود. خروجی تریگر قبلی در ورود 1 در خروجی مستقیم، شمارنده پالس ها را از گذرگاه "+1" (البته در صورت رسیدن)، با یک گزارش می شمارد. 1 در خروجی معکوس - از گذرگاه "-1". عناصر AND (DD6.1 و DD6.2) سیگنال های انتقال را تشکیل می دهند. در خروجی > 7، سیگنال با کد 111 (شماره 7) و وجود یک پالس ساعت در گذرگاه +1، در خروجی تولید می شود.<0 сигнал формируется при коде 000 и наличии тактового импульса на шине -1.

البته همه اینها جالب است، اما در طراحی ریز مدار زیباتر به نظر می رسد:

برنج. 4 شمارنده باینری چهار بیتی

در اینجا یک متر از پیش تعیین شده معمولی وجود دارد. CT2 به این معنی است که شمارنده باینری است، اگر اعشاری باشد، CT10 تنظیم می شود، اگر باینری-اعشاری باشد، CT2/10 است. ورودی های D0 – D3 ورودی اطلاعات نامیده می شوند و برای نوشتن هر حالت باینری در شمارنده استفاده می شوند. این حالت در خروجی های آن نمایش داده می شود و شمارش معکوس از آن شروع می شود. به عبارت دیگر، اینها ورودی های از پیش تعیین شده یا به سادگی از پیش تعیین شده هستند. ورودی V برای فعال کردن ضبط کد در ورودی های D0 - D3 یا به قول آنها فعال کردن از پیش تعیین شده استفاده می شود. این ورودی ممکن است با حروف دیگری نیز مشخص شود. ضبط مقدماتی در شمارنده زمانی انجام می شود که سیگنال فعال کردن نوشتن در لحظه رسیدن پالس به ورودی C ارسال شود. ورودی C کلاک شده است. تکانه ها در اینجا رانده می شوند. مثلث به این معنی است که شمارنده با سقوط پالس فعال می شود. اگر مثلث 180 درجه بچرخد، یعنی پشتش به سمت حرف C باشد، آنگاه توسط لبه پالس فعال می شود. ورودی R برای تنظیم مجدد شمارنده استفاده می شود، به عنوان مثال، هنگامی که یک پالس به این ورودی اعمال می شود، گزارش ها در همه خروجی های شمارنده تنظیم می شوند. 0. ورودی PI را ورودی حامل می نامند. خروجی p خروجی حمل نامیده می شود. هنگامی که شمارنده سرریز می شود (زمانی که همه خروجی ها روی منطق 1 تنظیم شده اند) سیگنالی در این خروجی تولید می شود. این سیگنال را می توان به ورودی حمل شمارنده بعدی اعمال کرد. سپس، هنگامی که شمارنده اول سرریز می شود، شمارنده دوم به حالت بعدی تغییر می کند. خروجی های 1، 2، 4، 8 به سادگی خروجی هستند. آنها یک کد باینری متناظر با تعداد پالس های دریافتی در ورودی شمارنده تولید می کنند. اگر نتیجه‌گیری‌ها دایره‌هایی داشته باشند، که خیلی بیشتر اتفاق می‌افتد، پس آنها معکوس هستند، یعنی به جای ورود به سیستم. 1 گزارش داده شده است. 0 و بالعکس عملکرد کنتورها همراه با سایر دستگاه ها بعداً با جزئیات بیشتری مورد بحث قرار خواهد گرفت.

توتالایزر موازی

اصل کار این شمارنده این است که سیگنال ورودی حاوی پالس های شمارش به طور همزمان به تمام بیت های این شمارنده اعمال می شود. و تنظیم شمارنده در حالت log.0 یا log.1 توسط مدار کنترل کنترل می شود. نمودار مدار این شمارنده در شکل 6 نشان داده شده است

برنج. 4 شمارنده انباشته موازی

بیت های شمارنده تریگرهای DD1، DD2، DD3 هستند.

مدار کنترل - عنصر DD4.

مزیت این شمارنده زمان نصب کوتاه آن است که به ظرفیت رقمی پیشخوان بستگی ندارد.

نقطه ضعف آن پیچیدگی مدار با افزایش ظرفیت شمارنده است.

شمارنده های حمل موازی

برای افزایش عملکرد، روشی برای تولید همزمان سیگنال انتقال برای همه بیت ها استفاده می شود. این با معرفی عناصر AND حاصل می شود که از طریق آنها پالس های ساعت بلافاصله به ورودی های تمام بیت های شمارنده ارسال می شوند.

برنج. 2- شمارنده حمل موازی و نمودارهایی که عملکرد آن را توضیح می دهند

با اولین ماشه همه چیز مشخص است. پالس ساعت تنها زمانی به ورودی تریگر دوم منتقل می شود که در خروجی تریگر اول یک لاگ وجود داشته باشد. 1 (ویژگی مدار AND) و به ورودی سوم - زمانی که در خروجی های دو مورد اول ورود به سیستم وجود دارد. 1 و غیره. تأخیر پاسخ در تریگر سوم مانند اولین است. چنین شمارنده ای شمارنده حمل موازی نامیده می شود. همانطور که از نمودار مشخص است، با افزایش تعداد بیت ها، تعداد لاگ ها نیز افزایش می یابد. عناصر AND، و هر چه رتبه بالاتر باشد، عنصر ورودی بیشتری دارد. این یک نقطه ضعف چنین شمارنده هایی است.

توسعه یک نمودار شماتیک

نبض سابق

شکل‌دهنده پالس وسیله‌ای است که برای از بین بردن جهش تماسی که هنگام بسته شدن کنتاکت‌های مکانیکی رخ می‌دهد، ضروری است که می‌تواند منجر به عملکرد نامناسب مدار شود.

شکل 9 نمودارهای شکل دهنده پالس از کنتاکت های مکانیکی را نشان می دهد.

برنج. 9 شکل دهنده پالس از تماس های مکانیکی.

بلوک نمایش

برای نمایش نتیجه شمارش باید از LED استفاده شود. برای انجام چنین خروجی اطلاعات، می توانید از ساده ترین طرح استفاده کنید. نمودار واحد نمایشگر LED در شکل 10 نشان داده شده است.

برنج. 10 واحد نمایشگر LED.

توسعه CCS (مدار کنترل ترکیبی)

برای پیاده سازی این شمارنده از سری ریز مدارهای K555 TTLSh، من انتخاب کردم:

دو ریز مدار K555TV9 (2 ماشه JK با نصب)

یک ریز مدار K555LA4 (3 عنصر 3I-NOT)

دو ریز مدار K555LA3 (4 عنصر 2I-NOT)

یک تراشه K555LN1 (6 اینورتر)

این تراشه ها حداقل تعداد بسته ها را روی برد مدار چاپی ارائه می دهند.

ترسیم بلوک دیاگرام متر

بلوک دیاگرام مجموعه ای از بلوک های متر است که عملکردی را انجام می دهد و عملکرد عادی کنتور را تضمین می کند. شکل 7 بلوک دیاگرام کنتور را نشان می دهد.

برنج. 7 بلوک دیاگرام کنتور

واحد کنترل وظیفه ارسال سیگنال و کنترل تریگرها را انجام می دهد.

بلوک شمارش برای تغییر وضعیت شمارنده و ذخیره این حالت طراحی شده است.

واحد نمایش اطلاعات را برای درک بصری نمایش می دهد.

ترسیم نمودار عملکردی متر

نمودار عملکردی - ساختار داخلی کنتور.

بیایید تعداد بهینه تریگرها را برای یک شمارنده غیر باینری با ضریب شمارش Kc=10 تعیین کنیم.

M = log 2 (Kc) = 4.

M = 4 به این معنی است که برای اجرای یک شمارنده اعشاری باینری، 4 فلیپ فلاپ مورد نیاز است.

ساده ترین شمارنده های پالس تک رقمی

ساده ترین شمارنده پالس تک رقمی می تواند یک فلیپ فلاپ JK و یک فلیپ فلاپ D باشد که در حالت شمارش کار می کند. پالس های ورودی را مدول 2 می شمارد - هر پالس ماشه را به حالت مخالف سوئیچ می کند. یک ماشه تا دو عدد می‌شمارد، دو عدد به‌صورت سری تا چهار عدد و n ماشه تا 2n پالس می‌شمارند. نتیجه شمارش در یک کد مشخص تولید می شود که می تواند در حافظه شمارنده ذخیره شود یا توسط دستگاه رمزگشای دیجیتال دیگری خوانده شود.

شکل مدار یک شمارنده پالس باینری سه بیتی را نشان می دهد که بر روی تبر فلیپ فلاپ JK K155TB1 ساخته شده است. چنین شمارنده ای را روی پانل تخته نان نصب کنید و نشانگرهای LED (یا ترانزیستور - با لامپ رشته ای) را به خروجی های مستقیم ماشه ها وصل کنید، همانطور که قبلا انجام شد. یک سری پالس با فرکانس تکرار 1 ... 2 هرتز از ژنراتور آزمایشی به ورودی C اولین ماشه شمارنده اعمال کنید و با استفاده از سیگنال های نور نشانگرها عملکرد شمارنده را رسم کنید.

اگر در لحظه ابتدایی همه ماشه های شمارنده در حالت صفر بودند (می توانید کلید دکمه SB1 را "Set.0" تنظیم کنید، با اعمال ولتاژ سطح پایین به ورودی R تریگرها)، سپس با کاهش اولین پالس (شکل 45.6) ماشه DD1 به حالت تک تغییر می کند - یک سطح ولتاژ بالا در خروجی مستقیم آن ظاهر می شود (شکل 45، ج). پالس دوم، ماشه DD1 را به حالت صفر، و ماشه DD2-B را به حالت تک تغییر می دهد (شکل 45، d). با افت پالس سوم، تریگرهای DD1 و DD2 در یک حالت خواهند بود و ماشه DD3 همچنان در حالت صفر خواهد بود. پالس چهارم، دو تریگر اول را به حالت صفر، و سومی را به حالت تک تغییر می دهد (شکل 45، د). پالس هشتم تمام محرک ها را به حالت صفر تغییر می دهد. هنگامی که نهمین پالس ورودی کاهش می یابد، چرخه بعدی عملیات شمارنده پالس سه رقمی آغاز می شود.

با مطالعه نمودارها، به راحتی می توان متوجه شد که هر رقم بالای شمارنده با رقم پایین دو برابر تعداد پالس های شمارش متفاوت است. بنابراین، دوره پالس ها در خروجی ماشه اول 2 برابر بیشتر از دوره پالس های ورودی است، در خروجی ماشه دوم - 4 بار، در خروجی ماشه سوم - 8 بار. به زبان فناوری دیجیتال، چنین شمارنده ای در یک کد وزنی 1-2-4 عمل می کند. در اینجا، اصطلاح "وزن" به مقدار اطلاعات دریافت شده توسط شمارنده پس از تنظیم محرک های آن در حالت صفر اشاره دارد. در دستگاه ها و ابزارهای فناوری دیجیتال، تعداد پالس شمار چهار رقمی با کد وزنی 1-2-4-8 بیشترین کاربرد را دارد. تقسیم‌کننده‌های فرکانس، پالس‌های ورودی را تا یک حالت مشخص که توسط ضریب شمارش مشخص شده است، شمارش می‌کنند و سپس یک سیگنال سوئیچینگ ماشه را به حالت صفر تشکیل می‌دهند، دوباره شروع به شمارش پالس‌های ورودی به ضریب شمارش مشخص می‌کنند و غیره.

شکل مدار و نمودارهای عملکرد یک تقسیم کننده با ضریب شمارش 5 را نشان می دهد که بر روی فلیپ فلاپ های JK ساخته شده است. در اینجا، شمارنده باینری سه بیتی آشنا با یک عنصر منطقی 2І-NOT DD4.1 تکمیل می شود. که ضریب شمارش را 5 می کند. اینطوری می شود. در طول چهار پالس ورودی اول (پس از تنظیم تریگرها در حالت صفر با استفاده از دکمه SB1 "Set 0")، دستگاه به عنوان یک شمارنده پالس باینری معمولی عمل می کند. در این حالت، یک سطح ولتاژ پایین در یک یا هر دو ورودی عنصر DD4.1 عمل می کند، بنابراین عنصر در یک حالت واحد است.

با کاهش پالس پنجم، یک سطح ولتاژ بالا در خروجی مستقیم اولین و سومین محرک ظاهر می شود و بنابراین در هر دو ورودی عنصر DD4.1، این عنصر منطقی را به حالت صفر تغییر می دهد. در این لحظه، یک پالس کوتاه سطح پایین در خروجی آن تشکیل می شود که از طریق دیود VD1 به ورودی R تمام فلیپ فلاپ ها منتقل می شود و آنها را به حالت صفر اولیه سوئیچ می کند.

از این لحظه چرخه بعدی عملیات شمارنده آغاز می شود. مقاومت R1 و دیود VD1 وارد شده به این شمارنده برای جلوگیری از اتصال خروجی عنصر DD4.1 به سیم مشترک ضروری هستند.

می توانید عملکرد چنین تقسیم کننده فرکانس را با اعمال پالس هایی با فرکانس 1 ... 2 هرتز به ورودی C اولین ماشه آن و اتصال نشانگر نور به خروجی ماشه DD3 بررسی کنید.

در عمل، عملکرد شمارنده‌های پالس و تقسیم‌کننده‌های فرکانس توسط ریزمدارهای طراحی شده با درجه بالایی از یکپارچگی انجام می‌شود. به عنوان مثال، در سری K155، اینها شمارنده های K155IE1، K155IE2، K155IE4 و غیره هستند.

در توسعه های رادیویی آماتور، ریزمدارهای K155IE1 و K155IE2 بیشترین استفاده را دارند. نمادهای گرافیکی مرسوم این ریزمدارهای شمارنده با شماره گذاری خروجی آنها در شکل 1 نشان داده شده است. 47.

ریزمدار K155IE1 (شکل 47a) یک شمارنده پالس ده روزه نامیده می شود، یعنی شمارنده ای با ضریب شمارش 10. شامل چهار ماشه متصل به صورت سری است. خروجی (پایه 5) ریز مدار، خروجی چهارمین ماشه آن است. همه فلیپ فلاپ ها با اعمال یک ولتاژ سطح بالا به طور همزمان به هر دو ورودی R (پایه های 1 و 2) که بر اساس مدار عنصر AND ترکیب شده اند (نماد "&") روی حالت صفر تنظیم می شوند. پالس‌های شمارش را که باید سطح پایینی داشته باشند، می‌توان به ورودی‌های C متصل به هم (پایه‌های 8 و 9)، همچنین در امتداد I. یا یکی از آنها، اگر در این زمان دومی دارای سطح ولتاژ بالا باشد، اعمال کرد. با هر دهمین پالس ورودی، شمارنده یک پالس سطح پایین تولید می کند که از نظر مدت زمان با پالس ورودی برابری می کند. ریز مدار K155IE2 (شکل 48b)

شمارنده چهار رقمی باینری اعشاری. همچنین دارای چهار فلیپ فلاپ است، اما اولی دارای ورودی C1 جداگانه (پایه 14) و خروجی مستقیم جداگانه (پایه 12) است. سه ماشه دیگر به یکدیگر وصل شده اند به طوری که یک تقسیم کننده بر 5 را تشکیل می دهند. وقتی خروجی اولین ماشه (پایه 12) به ورودی C2 (پایه 1) مدار تریگرهای باقی مانده وصل شود، ریز مدار تبدیل می شود. یک تقسیم کننده بر 10 (شکل 48، a)، که در کد 1 -2-4-8 عمل می کند، که همان چیزی است که اعداد در خروجی های علامت گرافیکی ریزمدار نشان می دهند. برای تنظیم تریگرهای شمارنده در حالت صفر، یک ولتاژ سطح بالا به هر دو ورودی R0 اعمال می شود (پایه های 2 و 3).

دو ورودی ترکیبی R0 و چهار خروجی جداکننده ریز مدار K155IE2 به شما این امکان را می دهد که تقسیم کننده های فرکانس را با فاکتورهای تقسیم از 2 تا 10 بدون عناصر اضافی بسازید. برای مثال، اگر پایه های 12 و 1، 9 و 2 را به هم وصل کنید، 8 n ​​3 (شکل 1). 48، 6)، سپس ضریب شمارش 6 و هنگام اتصال پین های 12 و 1، 11 خواهد بود. 2 و 3 (شکل 48، ج) ضریب شمارش 8 خواهد شد. این ویژگی ریزمدار K155IE2 به آن اجازه می دهد تا هم به عنوان شمارنده پالس دودویی و هم به عنوان تقسیم کننده فرکانس استفاده شود.

شمارنده پالس دیجیتال یک واحد دیجیتالی است که پالس های وارد شده به ورودی خود را شمارش می کند. نتیجه شمارش توسط شمارنده در یک کد داده شده تولید می شود و می تواند برای مدت زمان مورد نیاز ذخیره شود. شمارنده ها بر روی ماشه ها ساخته می شوند و تعداد پالس هایی که شمارنده می تواند شمارش کند از عبارت N = 2 n - 1 تعیین می شود که در آن n تعداد ماشه ها و منهای یک است، زیرا در فناوری دیجیتال 0 به عنوان شروع در نظر گرفته می شود. هنگامی که شمارش به سمت افزایش می رود شمارنده ها جمع هستند و شمارش تفریقی به سمت کاهش می رود. اگر شمارنده بتواند در حین کار از جمع به تفریق و برعکس تغییر کند، آن را برگشت پذیر می نامند.

این دستگاه برای شمارش تعداد دور شفت یک دستگاه مکانیکی طراحی شده است. شمارنده علاوه بر شمارش ساده با نشان دادن نمایشگر LED در اعداد اعشاری، اطلاعاتی در مورد تعداد دورهای یک کد ده بیتی باینری ارائه می دهد که می تواند هنگام طراحی یک دستگاه خودکار استفاده شود. شمارنده از یک سنسور سرعت نوری تشکیل شده است که یک اپتوکوپلر متشکل از یک LED IR دائما درخشان و یک فوتودیود است که بین آن یک دیسک از مواد مات وجود دارد که در آن یک بخش بریده شده است. دیسک به شفت یک دستگاه مکانیکی متصل است که تعداد دورهای آن باید شمارش شود. و، ترکیبی از دو شمارنده - یک شمارنده اعشاری سه رقمی با خروجی به نشانگرهای LED هفت بخش، و یک باینری ده رقمی. شمارنده ها به صورت همزمان اما مستقل از یکدیگر کار می کنند. LED HL1 یک جریان نوری پیوسته را ساطع می کند که از طریق شکافی در دیسک اندازه گیری وارد فتودیود می شود. هنگامی که دیسک می چرخد، تکانه ها تولید می شوند و از آنجایی که تنها یک شکاف در دیسک وجود دارد، تعداد این ضربه ها برابر با تعداد دورهای دیسک است. ماشه اشمیت در D1.1 و D1.2 پالس های ولتاژ R2 را که در اثر تغییر در جریان نوری از طریق فوتودیود ایجاد می شود، به پالس های سطح منطقی مناسب برای درک توسط شمارنده های سری K176 و K561 تبدیل می کند. تعداد پالس ها (تعداد چرخش دیسک) به طور همزمان توسط دو شمارنده - یک شمارنده اعشاری سه دهه در تراشه های D2-D4 و یک باینری در D5 شمارش می شود. اطلاعات مربوط به تعداد دور بر روی یک نمایشگر دیجیتالی متشکل از سه نشانگر LED هفت بخش H1-H3 و به صورت یک کد باینری ده بیتی نمایش داده می شود که از خروجی های شمارنده D5 حذف می شود. تنظیم مجدد تمام شمارنده ها به صفر در لحظه روشن شدن برق به طور همزمان اتفاق می افتد که با وجود عنصر D1.3 تسهیل می شود. اگر به دکمه صفر نیاز دارید، می توان آن را به صورت موازی با خازن C1 وصل کرد. اگر نیاز دارید که سیگنال ریست از یک دستگاه خارجی یا مدار منطقی بیاید، باید ریزمدار K561LE5 را با K561LA7 تعویض کنید و پایه 13 آن را از پایه 12 و C1 جدا کنید. اکنون صفر کردن را می توان با اعمال یک صفر منطقی از یک گره منطقی خارجی به پایه 13 از D1.3 انجام داد. مدار می تواند از سایر نشانگرهای LED هفت بخش مشابه ALS324 استفاده کند. اگر اندیکاتورها دارای یک کاتد مشترک هستند، باید صفر، نه یک را روی پایه های 6 D2-D4 اعمال کنید. ریز مدارهای K561 را می توان با آنالوگ های سری K176، K1561 یا آنالوگ های وارداتی جایگزین کرد. LED - هر LED IR (از کنترل از راه دور تجهیزات). فتودیود - هر یک از موارد مورد استفاده در سیستم های کنترل از راه دور تلویزیون های نوع USCT. این تنظیم شامل تنظیم حساسیت فتودیود با انتخاب مقدار R2 است.

Radioconstructor شماره 2 2003 ص 24

2006

برای محاسبه انرژی الکتریکی مصرف شده در یک دوره زمانی خاص، لازم است مقادیر لحظه ای توان فعال در طول زمان یکپارچه شوند. برای یک سیگنال سینوسی، توان برابر است با حاصلضرب ولتاژ و جریان در شبکه در یک زمان معین. هر کنتور انرژی الکتریکی بر اساس این اصل کار می کند.

2006

نصب و اتصال کنتور برق کار سختی نیست. پانل با متر باید روی چهار غلتک (در گوشه های پانل) در اتاق نصب شود، در نزدیکی محل عبور سیم کشی برق از کنتور آپارتمان مشترک.

2012

این دستگاه مصرف برق خانگی را کنترل می کند و اطلاعات را روی کارت حافظه SD ثبت می کند. تقویت کننده های ساده آنالوگ سیگنال سنسورهای ولتاژ و جریان را تقویت می کنند و بر اساس داده های دریافتی، میکروکنترلر ATmega168 مصرف برق را محاسبه می کند. ولتاژ و جریان در 9615 هرتز اندازه گیری می شود، بنابراین خوانش ها باید حتی در بارهای غیر سینوسی مانند رایانه ها یا لامپ های فلورسنت دقیق باشند.